Belle II Software development
ECLTimingUtilities.cc
1/**************************************************************************
2 * basf2 (Belle II Analysis Software Framework) *
3 * Author: The Belle II Collaboration *
4 * *
5 * See git log for contributors and copyright holders. *
6 * This file is licensed under LGPL-3.0, see LICENSE.md. *
7 **************************************************************************/
8
9#include <ecl/utility/ECLTimingUtilities.h>
10#include <math.h>
11
12using namespace Belle2;
13using namespace ECL;
14
16{ }
17
18// Time offset as a function of the signal amplitude measured in units of ticks
20{
25}
double energyDependenceTimeOffsetFitParam_p4
p4 in "energy dependence equation"
double energyDependenceTimeOffsetFitParam_p2
p2 in "energy dependence equation"
double energyDependenceTimeOffsetFitParam_p5
p5 in "energy dependence equation"
double energyDependenceTimeOffsetFitParam_p6
p6 in "energy dependence equation"
double energyDependenceTimeOffsetFitParam_p3
p3 in "energy dependence equation"
double energyDependentTimeOffsetElectronic(const double amplitude) const
Time walk function for the pre-amps i.e.
double energyDependenceTimeOffsetFitParam_p1
p1 in "energy dependence equation"
Abstract base class for different kinds of events.