Belle II Software development
KLMScintillatorFEEParameters.cc
1/**************************************************************************
2 * basf2 (Belle II Analysis Software Framework) *
3 * Author: The Belle II Collaboration *
4 * *
5 * See git log for contributors and copyright holders. *
6 * This file is licensed under LGPL-3.0, see LICENSE.md. *
7 **************************************************************************/
8
9/* Own header. */
10#include <klm/dbobjects/KLMScintillatorFEEParameters.h>
11
12using namespace Belle2;
13
15{
16}
17
19{
20}
21
24{
25 std::map<KLMChannelNumber, KLMScintillatorFEEData>::iterator it;
26 it = m_FEEParameters.find(strip);
27 if (it == m_FEEParameters.end())
28 m_FEEParameters.insert(std::pair<KLMChannelNumber, KLMScintillatorFEEData>(strip, *data));
29 else
30 it->second = *data;
31}
32
34 KLMChannelNumber strip) const
35{
36 std::map<KLMChannelNumber, KLMScintillatorFEEData>::const_iterator it;
37 it = m_FEEParameters.find(strip);
38 if (it == m_FEEParameters.end())
39 return nullptr;
40 return &(it->second);
41}
const KLMScintillatorFEEData * getFEEData(KLMChannelNumber strip) const
Get scintillator FEE data.
std::map< KLMChannelNumber, KLMScintillatorFEEData > m_FEEParameters
Scintillator FEE parameters.
void setFEEData(KLMChannelNumber strip, KLMScintillatorFEEData *data)
Set scintillator FEE data.
uint16_t KLMChannelNumber
Channel number.
Abstract base class for different kinds of events.