Belle II Software development
TRGCDCJSignal Member List

This is the complete list of members for TRGCDCJSignal, including all inherited members.

absolute(TRGCDCJSignal const &first)TRGCDCJSignalstatic
assignTo(TRGCDCJSignal const &rhs, int targetClock, std::string &finalCode)TRGCDCJSignal
assignTo(TRGCDCJSignal const &rhs, int targetClock)TRGCDCJSignal
assignVhdlCode(TRGCDCJSignal const &target, TRGCDCJSignal const &from)TRGCDCJSignalstatic
calBitwidth() constTRGCDCJSignal
calBitwidth(int type, signed long long minInt, signed long long maxInt)TRGCDCJSignal
calFinishClock() constTRGCDCJSignal
calInt(double value, TRGCDCJSignal const &mother)TRGCDCJSignalstatic
calMaxInteger() constTRGCDCJSignal
calMinInteger() constTRGCDCJSignal
calType() constTRGCDCJSignal
calType(double minValue) constTRGCDCJSignal
calVhdlTypeBitwidth(TRGCDCJSignal const &first, const std::string &operation, TRGCDCJSignal const &second, int &type, int &bitwidth)TRGCDCJSignalstatic
checkInt(const std::string &name) constTRGCDCJSignal
choose(TRGCDCJSignal &target, TRGCDCJSignal const &targetMin, TRGCDCJSignal const &targetMax, TRGCDCJSignal const &reference, std::vector< std::vector< TRGCDCJSignal > > data, int targetClock)TRGCDCJSignalstatic
choose(TRGCDCJSignal &target, TRGCDCJSignal const &targetMin, TRGCDCJSignal const &targetMax, TRGCDCJSignal const &reference, const std::vector< std::vector< TRGCDCJSignal > > &data)TRGCDCJSignalstatic
choose(TRGCDCJSignal &target, TRGCDCJSignal const &reference, const std::vector< std::vector< TRGCDCJSignal > > &data, int targetClock)TRGCDCJSignalstatic
choose(TRGCDCJSignal &target, TRGCDCJSignal const &reference, const std::vector< std::vector< TRGCDCJSignal > > &data)TRGCDCJSignalstatic
chooseVhdlCode(TRGCDCJSignal const &target, TRGCDCJSignal const &reference, std::vector< std::vector< TRGCDCJSignal > > const &data)TRGCDCJSignalstatic
combineArguments(TRGCDCJSignal const &first, TRGCDCJSignal const &second, TRGCDCJSignal &result)TRGCDCJSignalstatic
comp(TRGCDCJSignal const &lhs, const std::string &operate, TRGCDCJSignal const &rhs)TRGCDCJSignalstatic
dump() constTRGCDCJSignal
getActual() constTRGCDCJSignal
getArgumentSignals() constTRGCDCJSignal
getBitsize() constTRGCDCJSignal
getCommonData() constTRGCDCJSignal
getFinishClock() constTRGCDCJSignal
getInt() constTRGCDCJSignal
getMaxActual() constTRGCDCJSignal
getMaxInt() constTRGCDCJSignal
getMinActual() constTRGCDCJSignal
getMinInt() constTRGCDCJSignal
getName() constTRGCDCJSignal
getPrintVhdl() constTRGCDCJSignal
getRealInt() constTRGCDCJSignal
getRealMaxInt() constTRGCDCJSignal
getRealMinInt() constTRGCDCJSignal
getToReal() constTRGCDCJSignal
getType() constTRGCDCJSignal
getVhdlCode() constTRGCDCJSignal
getVhdlOutputFile() constTRGCDCJSignal
ifElse(std::vector< std::pair< TRGCDCJSignal, std::vector< std::pair< TRGCDCJSignal *, TRGCDCJSignal > > > > &data, int targetClock)TRGCDCJSignalstatic
ifElse(std::vector< std::pair< TRGCDCJSignal, std::vector< std::pair< TRGCDCJSignal *, TRGCDCJSignal > > > > &data)TRGCDCJSignalstatic
ifElseVhdlCode(std::vector< std::pair< TRGCDCJSignal, std::vector< std::pair< TRGCDCJSignal *, TRGCDCJSignal > > > > const &data)TRGCDCJSignalstatic
initArgumentSignals()TRGCDCJSignal
initVhdlCode()TRGCDCJSignal
invOffset(TRGCDCJSignal const &valueMin) constTRGCDCJSignal
isSameSignal(TRGCDCJSignal const &lhs, TRGCDCJSignal const &rhs)TRGCDCJSignalstatic
limit(signed long long minInt, signed long long maxInt, double minActual, double maxActual) constTRGCDCJSignal
limit(TRGCDCJSignal const &min, TRGCDCJSignal const &max) constTRGCDCJSignal
m_actualTRGCDCJSignalprivate
m_argumentSignalsTRGCDCJSignalprivate
m_bitsizeTRGCDCJSignalprivate
m_commonDataTRGCDCJSignalprivate
m_debugTRGCDCJSignalprivate
m_finishClockTRGCDCJSignalprivate
m_intTRGCDCJSignalprivate
m_maxActualTRGCDCJSignalprivate
m_maxIntTRGCDCJSignalprivate
m_minActualTRGCDCJSignalprivate
m_minIntTRGCDCJSignalprivate
m_nameTRGCDCJSignalprivate
m_slvTRGCDCJSignalprivate
m_toRealTRGCDCJSignalprivate
m_typeTRGCDCJSignalprivate
m_vhdlCodeTRGCDCJSignalprivate
mapSignalsToValues(std::map< std::string, Belle2::TRGCDCJSignal >const &inMap, std::vector< std::pair< std::string, int > > const &inChoose, std::vector< std::tuple< std::string, double, int, double, double, int > > &outValues)TRGCDCJSignalstatic
matchUnit(TRGCDCJSignal &first, TRGCDCJSignal &second) constTRGCDCJSignal
offset(TRGCDCJSignal const &valueMin) constTRGCDCJSignal
operator*(TRGCDCJSignal const &rhs) constTRGCDCJSignal
operator+(TRGCDCJSignal const &rhs) constTRGCDCJSignal
operator-() constTRGCDCJSignal
operator-(TRGCDCJSignal const &rhs) constTRGCDCJSignal
operator<=(TRGCDCJSignal const &rhs)TRGCDCJSignal
orderLargestBitsize(TRGCDCJSignal &large, TRGCDCJSignal &small) constTRGCDCJSignal
printVhdl(const std::string &vhdlCode) constTRGCDCJSignal
replaceWithSignalNames(std::string const &fromVhdlCode, std::vector< std::pair< std::string, std::vector< int > > > const &fromVhdlSignals, int const &finishClock, std::map< std::string, std::vector< int > > &buffers)TRGCDCJSignalstatic
resize(int bitwidth) constTRGCDCJSignal
setActual(double value)TRGCDCJSignal
setBitsize(int bitsize)TRGCDCJSignal
setCommonData(TRGCDCJSignalData *)TRGCDCJSignal
setDebug(bool debug)TRGCDCJSignal
setFinishClock(int finishClock)TRGCDCJSignal
setInt(signed long long value)TRGCDCJSignal
setName(const std::string &name)TRGCDCJSignal
setPrintVhdl(bool printVhdl)TRGCDCJSignal
setToReal(double value)TRGCDCJSignal
setType(int type)TRGCDCJSignal
setVhdlOutputFile(const std::string &filename)TRGCDCJSignal
shift(int nBits, int operate=1) constTRGCDCJSignal
signedToSlv(TRGCDCJSignal const &in)TRGCDCJSignalstatic
slvToSigned(TRGCDCJSignal const &in, double const &toReal, signed long long const &minInt, signed long long const &maxInt, double const &actual, double const &minActual, double const &maxActual, int const &finishClock)TRGCDCJSignalstatic
slvToSigned(TRGCDCJSignal const &in)TRGCDCJSignalstatic
slvToUnsigned(TRGCDCJSignal const &in, double const &toReal, signed long long const &minInt, signed long long const &maxInt, double const &actual, double const &minActual, double const &maxActual, int const &finishClock)TRGCDCJSignalstatic
slvToUnsigned(TRGCDCJSignal const &in)TRGCDCJSignalstatic
swap(TRGCDCJSignal &first, TRGCDCJSignal &second) constTRGCDCJSignal
toSignedVhdlCode()TRGCDCJSignal
toSlv(TRGCDCJSignal const &in, int test)TRGCDCJSignalstatic
toSlv(TRGCDCJSignal const &)TRGCDCJSignalstatic
toUnsignedVhdlCode()TRGCDCJSignal
TRGCDCJSignal()TRGCDCJSignal
TRGCDCJSignal(TRGCDCJSignalData *)TRGCDCJSignalexplicit
TRGCDCJSignal(int const &bitwidth, double const &value, double const &min, double const &max, int const &clock, TRGCDCJSignalData *)TRGCDCJSignal
TRGCDCJSignal(int const &bitwidth, double const &value, double const &min, double const &max, TRGCDCJSignalData *)TRGCDCJSignal
TRGCDCJSignal(signed long long const &intValue, double const &toReal, signed long long const &minInt, signed long long const &maxInt, double const &actual, double const &minActual, double const &maxActual, int const &finishClock, TRGCDCJSignalData *, bool b_slv=0)TRGCDCJSignal
TRGCDCJSignal(double const &value, double const &toReal, TRGCDCJSignalData *)TRGCDCJSignal
TRGCDCJSignal(std::vector< bool > const &slvValue, int const &finishClock, TRGCDCJSignalData *)TRGCDCJSignal
unsignedToSlv(TRGCDCJSignal const &in)TRGCDCJSignalstatic
valuesToMapSignals(std::vector< std::tuple< std::string, double, int, double, double, int > > const &inValues, Belle2::TRGCDCJSignalData *inCommonData, std::map< std::string, Belle2::TRGCDCJSignal > &outMap)TRGCDCJSignalstatic
vhdlCode(TRGCDCJSignal const &first, const std::string &operation, TRGCDCJSignal const &second, TRGCDCJSignal const &result, std::string &targtVhdlCode)TRGCDCJSignalstatic
vhdlCode(const std::string &operation, TRGCDCJSignal const &first, TRGCDCJSignal const &result, std::string &targetVhdlCode)TRGCDCJSignalstatic
~TRGCDCJSignal()TRGCDCJSignalinline