Belle II Software development
linkdef.h
1#ifdef __CINT__
2
3#pragma link off all globals;
4#pragma link off all classes;
5#pragma link off all functions;
6#pragma link C++ nestedclasses;
7
8#pragma link C++ class Belle2::KLMAlignmentData+; // checksum=0xe7de8ba2, version=1
9#pragma link C++ class Belle2::KLMChannelStatus+; // checksum=0x2477d125, version=1
10#pragma link C++ class Belle2::KLMElectronicsChannel+; // checksum=0xd01960ae, version=1
11#pragma link C++ class Belle2::KLMElectronicsMap+; // checksum=0x80823ee7, version=1
12#pragma link C++ class Belle2::KLMLikelihoodParameters+; // checksum=0xb0134418, version=1
13#pragma link C++ class Belle2::KLMReconstructionParameters+; // checksum=0xed450dc8, version=2
14#pragma link C++ class Belle2::KLMScintillatorDigitizationParameters+; // checksum=0x83151ce0, version=2
15#pragma link C++ class Belle2::KLMScintillatorFEEData+; // checksum=0xa1527940, version=1
16#pragma link C++ class Belle2::KLMScintillatorFEEParameters+; // checksum=0xae2d1dcf, version=1
17#pragma link C++ class Belle2::KLMScintillatorFirmware+; // checksum=0xad3e43b1, version=1
18#pragma link C++ class Belle2::KLMStripEfficiency+; // checksum=0xbe1e8e53, version=1
19#pragma link C++ class Belle2::KLMTimeCableDelay+; // checksum=0xdaabeabe, version=1
20#pragma link C++ class Belle2::KLMTimeConstants+; // checksum=0x771043a0, version=1
21#pragma link C++ class Belle2::KLMTimeConversion+; // checksum=0x411acf7f, version=2
22#pragma link C++ class Belle2::KLMTimeResolution+; // checksum=0xf349648b, version=1
23#pragma link C++ class Belle2::KLMTimeWindow+; // checksum=0x546af69b, version=1
24
25// Evolution of KLMScintillatorDigitizationParameters.
26#pragma read \
27 sourceClass="Belle2::KLMScintillatorDigitizationParameters" source="double m_ADCSamplingTime" version="[-1]"\
28 targetClass="Belle2::KLMScintillatorDigitizationParameters" target="m_ADCSamplingTDCPeriods" \
29 code = "{ m_ADCSamplingTDCPeriods = int(onfile.m_ADCSamplingTime * 1.017728000); }"
30
31
32#endif