Belle II Software development
CDCTrigger2DFinderModule.cc
1/**************************************************************************
2 * basf2 (Belle II Analysis Software Framework) *
3 * Author: The Belle II Collaboration *
4 * *
5 * See git log for contributors and copyright holders. *
6 * This file is licensed under LGPL-3.0, see LICENSE.md. *
7 **************************************************************************/
8
9#include <trg/cdc/modules/houghtracking/CDCTrigger2DFinderModule.h>
10
11#include <cdc/geometry/CDCGeometryPar.h>
12#include <framework/logging/Logger.h>
13#include <framework/gearbox/Const.h>
14
15#include <cmath>
16#include <algorithm>
17#include <iterator>
18
19/* defines */
20#define CDC_SUPER_LAYERS 9
21
22using namespace std;
23using namespace Belle2;
24using namespace Belle2::CDC;
25
26//-----------------------------------------------------------------
27// Register the Module
28//-----------------------------------------------------------------
29REG_MODULE(CDCTrigger2DFinder);
30
31//-----------------------------------------------------------------
32// Implementation
33//-----------------------------------------------------------------
34
36{
37 //Set module properties
38 setDescription("Hough tracking algorithm for CDC trigger.");
40
41 // Define module parameters
42 addParam("hitCollectionName", m_hitCollectionName,
43 "Name of the input StoreArray of CDCTriggerSegmentHits.",
44 string(""));
45 addParam("outputCollectionName", m_outputCollectionName,
46 "Name of the StoreArray holding the tracks found in the Hough tracking.",
47 string("TRGCDC2DFinderTracks"));
48 addParam("clusterCollectionName", m_clusterCollectionName,
49 "Name of the StoreArray holding the clusters formed in the Hough plane.",
50 string(""));
51 addParam("nCellsPhi", m_nCellsPhi,
52 "Number of Hough cells in phi (limits: [-180, 180]). Must be an even number.",
53 (unsigned)(160));
54 addParam("nCellsR", m_nCellsR,
55 "Number of Hough cells in 1/r. Must be an even number.",
56 (unsigned)(34));
57 addParam("minPt", m_minPt,
58 "Minimum Pt [GeV]. "
59 "Hough plane limits in 1/r are [-1/r(minPt), 1/r(minPt)]", (double)(0.3));
60 addParam("shiftPt", m_shiftPt,
61 "Shift the Hough plane by 1/4 cell size in 1/r to avoid "
62 "curvature 0 tracks (<0: shift in negative direction, "
63 "0: no shift, >0: shift in positive direction).", 0);
64
65 addParam("minHits", m_minHits,
66 "Minimum hits from different super layers required in a peak cell.",
67 (unsigned)(4));
68 addParam("minHitsShort", m_minHitsShort,
69 "Minimum hits required required in a peak cell for a short track"
70 " (must be in the first minHitsShort super layers).",
71 (unsigned)(4));
72 addParam("minCells", m_minCells,
73 "Peaks with less than minCells connected cells are ignored.",
74 (unsigned)(2));
75 addParam("onlyLocalMax", m_onlyLocalMax,
76 "Switch to remove cells connected to a cell with higher super layer count.",
77 false);
78 addParam("connect", m_connect,
79 "Definition for connected cells. 4: direct (left/right/top/bottom), "
80 "6: direct + 2 diagonal (top right/bottom left), "
81 "8: direct + all diagonal (top right/top left/bottom right/bottom left)",
82 (unsigned)(6));
83 addParam("ignore2ndPriority", m_ignore2nd,
84 "Switch to skip second priority hits.", false);
85 addParam("usePriorityPosition", m_usePriority,
86 "If true, use wire position of priority cell in track segment, "
87 "otherwise use wire position of center cell.", true);
88 addParam("requireSL0", m_requireSL0,
89 "Switch to check separately for a hit in the innermost superlayer.", false);
90 addParam("storeHoughPlane", m_storePlane,
91 "Switch for saving Hough plane as TMatrix in DataStore. "
92 "0: don't store anything, 1: store only peaks, 2: store full plane "
93 "(will increase runtime).", (unsigned)(0));
94 addParam("clusterPattern", m_clusterPattern,
95 "use nested pattern algorithm to find clusters", true);
96 addParam("clusterSizeX", m_clusterSizeX,
97 "maximum number of 2 x 2 squares in cluster for pattern algorithm",
98 (unsigned)(3));
99 addParam("clusterSizeY", m_clusterSizeY,
100 "maximum number of 2 x 2 squares in cluster for pattern algorithm",
101 (unsigned)(3));
102 addParam("hitRelationsFromCorners", m_hitRelationsFromCorners,
103 "Switch for creating relations to hits in the pattern algorithm. "
104 "If true, create relations from cluster corners, otherwise "
105 "from estimated cluster center (might not have relations).", false);
106
107 addParam("testFilename", m_testFilename,
108 "If not empty, a file with input (hits) and output (tracks) "
109 "for each event is written (for firmware debugging).", string(""));
110
111 addParam("suppressClone", m_suppressClone,
112 "Switch to send only the first found track and suppress the "
113 "subsequent clones.", false);
114
115 addParam("usehitpattern", m_usehitpattern,
116 "Switch to use hit pattern inside TSF ", false);
117
118 addParam("useadc", m_useadc,
119 "Switch to use ADC. Can be used with usehitpattern enabled. ", false);
120}
121
122void
124{
127 m_clusters.registerInDataStore(m_clusterCollectionName);
128
131
132 if (m_storePlane > 0) m_houghPlane.registerInDataStore("HoughPlane");
133
135 int layerId = 3;
136 int nTS = 0;
137 for (int iSL = 0; iSL < 9; ++iSL) {
138 TSoffset[iSL] = nTS;
139 nTS += cdc.nWiresInLayer(layerId);
140 TSoffset[iSL + 1] = nTS;
141 if (!m_usehitpattern) {
142 for (int priority = 0; priority < 2; ++ priority) {
143 radius[iSL][priority] = cdc.senseWireR(layerId + priority);
144 }
145 } else {
146 for (int priority = 0; priority < 5; ++ priority) {
147 if (iSL == 0) radius[iSL][priority] = cdc.senseWireR(layerId + priority);
148 else radius[iSL][priority] = cdc.senseWireR(layerId + priority - 2);
149 }
150 }
151 layerId += (iSL > 0 ? 6 : 7);
152 }
153
154 if (m_testFilename != "") {
156 }
157
158 if (m_suppressClone) {
159 B2INFO("2D finder will exit with the first track candidate in time.");
160 }
161}
162
163void
165{
166 /* Clean hits */
167 hitMap.clear();
168 houghCand.clear();
169
170 /* set default return value */
171 setReturnValue(true);
172
173 if (m_testFilename != "") {
174 testFile << StoreObjPtr<EventMetaData>()->getEvent() << " "
175 << m_segmentHits.getEntries() << endl;
176 }
177
178 if (m_segmentHits.getEntries() == 0) {
179 //B2WARNING("CDCTracking: tsHitsCollection is empty!");
180 return;
181 }
182
183 /* get CDCHits coordinates in conformal space */
184 for (int iHit = 0; iHit < m_segmentHits.getEntries(); iHit++) {
185 unsigned short iSL = m_segmentHits[iHit]->getISuperLayer();
186 if (m_testFilename != "") {
187 testFile << iSL << " " << m_segmentHits[iHit]->getSegmentID() - TSoffset[iSL] << " "
188 << m_segmentHits[iHit]->getPriorityPosition() << endl;
189 }
190 if (iSL % 2) continue;
191 if (m_ignore2nd && m_segmentHits[iHit]->getPriorityPosition() < 3) continue;
192
193 if (m_usehitpattern) {
194 unsigned hitpattern;
195 if (m_useadc) hitpattern = m_segmentHits[iHit]->gethitpattern_adc();
196 else hitpattern = m_segmentHits[iHit]->gethitpattern();
197 int nhitpattern = 0;
198 if (iSL == 0)nhitpattern = 15;
199 else nhitpattern = 11;
200 for (int i = 0; i < nhitpattern; i++) {
201 if ((hitpattern & (1 << i)) != 0) {
202 double phi = m_segmentHits[iHit]->getSegmentID() - TSoffset[iSL];
203 if (iSL != 0 && i == 0) phi = phi - 1;
204 else if (iSL != 0 && i == 1) phi = phi + 0;
205 else if (iSL != 0 && i == 2) phi = phi + 1;
206 else if (iSL != 0 && i == 3) phi = phi - 0.5;
207 else if (iSL != 0 && i == 4) phi = phi + 0.5;
208 else if (iSL != 0 && i == 5) phi = phi + 0;
209 else if (iSL != 0 && i == 6) phi = phi - 0.5;
210 else if (iSL != 0 && i == 7) phi = phi + 0.5;
211 else if (iSL != 0 && i == 8) phi = phi - 1;
212 else if (iSL != 0 && i == 9) phi = phi + 0;
213 else if (iSL != 0 && i == 10) phi = phi + 1;
214 else if (iSL == 0 && i == 0) phi = phi + 0;
215 else if (iSL == 0 && i == 1) phi = phi - 0.5;
216 else if (iSL == 0 && i == 2) phi = phi + 0.5;
217 else if (iSL == 0 && i == 3) phi = phi - 1;
218 else if (iSL == 0 && i == 4) phi = phi + 0;
219 else if (iSL == 0 && i == 5) phi = phi + 1;
220 else if (iSL == 0 && i == 6) phi = phi - 1.5;
221 else if (iSL == 0 && i == 7) phi = phi - 0.5;
222 else if (iSL == 0 && i == 8) phi = phi + 0.5;
223 else if (iSL == 0 && i == 9) phi = phi + 1.5;
224 else if (iSL == 0 && i == 10) phi = phi - 2;
225 else if (iSL == 0 && i == 11) phi = phi - 1;
226 else if (iSL == 0 && i == 12) phi = phi + 0;
227 else if (iSL == 0 && i == 13) phi = phi + 1;
228 else if (iSL == 0 && i == 14) phi = phi + 2;
229 phi = phi * 2. * M_PI / (TSoffset[iSL + 1] - TSoffset[iSL]);
230 int iLayer = 0;
231 if (iSL != 0 && i == 0) iLayer = 0;
232 else if (iSL != 0 && i == 1) iLayer = 0;
233 else if (iSL != 0 && i == 2) iLayer = 0;
234 else if (iSL != 0 && i == 3) iLayer = 1;
235 else if (iSL != 0 && i == 4) iLayer = 1;
236 else if (iSL != 0 && i == 5) iLayer = 2;
237 else if (iSL != 0 && i == 6) iLayer = 3;
238 else if (iSL != 0 && i == 7) iLayer = 3;
239 else if (iSL != 0 && i == 8) iLayer = 4;
240 else if (iSL != 0 && i == 9) iLayer = 4;
241 else if (iSL != 0 && i == 10) iLayer = 4;
242 else if (iSL == 0 && i == 0) iLayer = 0;
243 else if (iSL == 0 && i == 1) iLayer = 1;
244 else if (iSL == 0 && i == 2) iLayer = 1;
245 else if (iSL == 0 && i == 3) iLayer = 2;
246 else if (iSL == 0 && i == 4) iLayer = 2;
247 else if (iSL == 0 && i == 5) iLayer = 2;
248 else if (iSL == 0 && i == 6) iLayer = 3;
249 else if (iSL == 0 && i == 7) iLayer = 3;
250 else if (iSL == 0 && i == 8) iLayer = 3;
251 else if (iSL == 0 && i == 9) iLayer = 3;
252 else if (iSL == 0 && i == 10) iLayer = 4;
253 else if (iSL == 0 && i == 11) iLayer = 4;
254 else if (iSL == 0 && i == 12) iLayer = 4;
255 else if (iSL == 0 && i == 13) iLayer = 4;
256 else if (iSL == 0 && i == 14) iLayer = 4;
257
258 double r = radius[iSL][iLayer];
259 ROOT::Math::XYVector pos(cos(phi) / r, sin(phi) / r);
260 hitMap.insert(std::make_pair(iHit * 15 + i, std::make_pair(iSL * 5 + iLayer, pos)));
261 }
262 }
263 } else {
264 double phi = m_segmentHits[iHit]->getSegmentID() - TSoffset[iSL];
265 if (m_usePriority) {
266 phi += 0.5 * (((m_segmentHits[iHit]->getPriorityPosition() >> 1) & 1)
267 - (m_segmentHits[iHit]->getPriorityPosition() & 1));
268 }
269 phi = phi * 2. * M_PI / (TSoffset[iSL + 1] - TSoffset[iSL]);
270 double r = radius[iSL][int(m_usePriority &&
271 m_segmentHits[iHit]->getPriorityPosition() < 3)];
272 ROOT::Math::XYVector pos(cos(phi) / r, sin(phi) / r);
273 hitMap.insert(std::make_pair(iHit, std::make_pair(iSL, pos)));
274 }
275 }
276
277 /* Extent the Hough plane such that the cell number is a power of 2 (same for x and y).
278 * This is for the fast peak finder, which divides the Hough plane in half in each step.
279 * Peaks found outside of the actual limits are ignored. */
280 maxIterations = ceil(log2(max(m_nCellsPhi, m_nCellsR))) - 1;
281 nCells = pow(2, maxIterations + 1);
282 /* limits in phi: [-pi, pi] + extra cells */
283 double rectX = M_PI * nCells / m_nCellsPhi;
284 /* limits in R: [-R(minPt), R(minPt)] + extra cells + shift */
285 maxR = 0.5 * Const::speedOfLight * 1.5e-4 / m_minPt;
286 double rectY = maxR * nCells / m_nCellsR;
287 shiftR = 0;
288 if (m_shiftPt < 0) {
289 shiftR = -maxR / 2. / m_nCellsR;
290 } else if (m_shiftPt > 0) {
291 shiftR = maxR / 2. / m_nCellsR;
292 }
293
294 B2DEBUG(50, "extending Hough plane to " << maxIterations << " iterations, "
295 << nCells << " cells: phi in ["
296 << -rectX * 180. / M_PI << ", " << rectX * 180. / M_PI
297 << "] deg, 1/r in [" << -rectY + shiftR << ", " << rectY + shiftR << "] /cm");
298
299 /* prepare matrix for storing the Hough plane */
300 if (m_storePlane > 0) {
303 }
304
305 // hit map containing only the early hits
306 cdcMap fastHitMap;
307 if (m_suppressClone && !hitMap.empty()) {
308 // find the first track candidates in Hough plane
309 // only for z0 resolution study with single-track events
310 // This will surely fail with multi-track ones,
311 // in which case we really need tick-by-tick simulation for all hits.
312
314 typedef pair<int, cdcPair> cdcHitPair;
315 // sequential hit map, ordered by TS found time
316 typedef vector<cdcHitPair> cdcSeqMap;
317 cdcSeqMap seqHitMap;
318 // copy hit map to sequential hit map and sort it by TS found time
319 for (auto hit : hitMap) {
320 seqHitMap.push_back(hit);
321 }
322 sort(seqHitMap.begin(), seqHitMap.end(), [this](cdcHitPair i, cdcHitPair j) {
323 return m_segmentHits[i.first]->foundTime() < m_segmentHits[j.first]->foundTime();
324 });
325 auto seqHitItr = seqHitMap.begin();
326 /* layer filter */
327 vector<bool> layerHit(CDC_SUPER_LAYERS, false);
328 // data clock cycle in unit of 2ns
329 short period = 16;
330 short firstTick = m_segmentHits[(*seqHitMap.begin()).first]->foundTime() / period + 1;
331 short lastTick = m_segmentHits[(*(seqHitMap.end() - 1)).first]->foundTime() / period + 1;
332 // add TS hits in every clock cycle until a track candidate is found
333 for (auto tick = firstTick * period; tick < lastTick * period; tick += period) {
334 int nHitInCycle = 0;
335 for (auto itr = seqHitItr; itr < seqHitMap.end(); ++itr) {
336 cdcHitPair currentHit = *itr;
337 // start from the first hit over SL threshold
338 if (count(layerHit.begin(), layerHit.end(), true) >= m_minHits &&
339 m_segmentHits[currentHit.first]->foundTime() > tick) {
340 break;
341 }
342 nHitInCycle++;
343 layerHit[m_segmentHits[currentHit.first]->getISuperLayer()] = true;
344 }
345 copy_n(seqHitItr, nHitInCycle, inserter(fastHitMap, fastHitMap.end()));
346 fastInterceptFinder(fastHitMap, -rectX, rectX, -rectY + shiftR, rectY + shiftR, 0, 0, 0);
347 B2DEBUG(20, "at tick " << tick << ", number of candidates: " << houghCand.size());
348 if (!houghCand.empty()) {
349 B2DEBUG(10, "found a track at clock " << tick << " with "
350 << fastHitMap.size() << "hits");
351 break;
352 }
353 advance(seqHitItr, nHitInCycle);
354 }
355 } else {
356 /* find track candidates in Hough plane using all TS hits */
357 fastInterceptFinder(hitMap, -rectX, rectX, -rectY + shiftR, rectY + shiftR, 0, 0, 0);
358 if (!houghCand.empty()) {
359 B2DEBUG(10, "found a track with " << hitMap.size() << "hits");
360 }
361 }
362
363 /* merge track candidates */
365 patternClustering(fastHitMap);
366 else
368
369 if (m_testFilename != "") {
370 testFile << m_tracks.getEntries() << endl;
371 for (int i = 0; i < m_tracks.getEntries(); ++i) {
372 float ix = (m_tracks[i]->getPhi0() - M_PI_4) * m_nCellsPhi / 2. / M_PI - 0.5;
373 float iy = (m_tracks[i]->getOmega() / 2. + maxR - shiftR) * m_nCellsR / 2. / maxR - 0.5;
374 testFile << round(2 * ix) / 2. << " " << round(2 * iy) / 2. << " "
375 << m_tracks[i]->getChargeSign() << endl;
378 testFile << hits.size() << endl;
379 for (unsigned ihit = 0; ihit < hits.size(); ++ihit) {
380 unsigned short iSL = hits[ihit]->getISuperLayer();
381 testFile << iSL << " " << hits[ihit]->getSegmentID() - TSoffset[iSL] << " "
382 << hits[ihit]->getPriorityPosition() << " "
383 << hits.weight(ihit) << endl;
384 }
385 }
386 }
387}
388
389void
391{
392 if (m_testFilename != "") testFile.close();
393}
std::vector< CDCTriggerHoughCand > houghCand
Hough Candidates.
bool m_usehitpattern
switch to use hit pattern inside TSF
std::string m_testFilename
filename for test output for firmware debugging
int fastInterceptFinder(cdcMap &hits, double x1_s, double x2_s, double y1_s, double y2_s, unsigned iterations, unsigned ix_s, unsigned iy_s)
Fast intercept finder Divide Hough plane recursively to find cells with enough crossing lines.
unsigned m_minCells
minimum number of cells in a cluster to form a track
std::ofstream testFile
filestream for test output for firmware debugging
bool m_useadc
switch to use hit pattern inside TSF with ADC cut
unsigned maxIterations
number of iterations for the fast peak finder, smallest n such that 2^(n+1) > max(nCellsPhi,...
unsigned m_minHitsShort
short tracks require hits in the first minHitsShort super layers to form a candidate
virtual void initialize() override
Initialize the module and check module parameters.
unsigned m_nCellsR
number of Hough cells in 1/r
int m_shiftPt
shift the Hough plane in 1/r to avoid curvature 0 tracks < 0: shift in negative direction (negative h...
virtual void event() override
Run tracking.
StoreArray< CDCTriggerSegmentHit > m_segmentHits
list of track segment hits
std::string m_outputCollectionName
Name of the StoreArray containing the tracks found by the Hough tracking.
unsigned m_nCellsPhi
number of Hough cells in phi
bool m_usePriority
switch between priority position and center position of track segment
bool m_clusterPattern
switch for clustering algorithm (if true use nested patterns)
double maxR
Hough plane limit in 1/r [1/cm].
virtual void terminate() override
Clean up.
bool m_hitRelationsFromCorners
switch for creating relations to hits in the pattern clustering algorithm.
StoreObjPtr< TMatrix > m_houghPlane
matrix containing the Hough plane
unsigned m_storePlane
switch to save the Hough plane in DataStore (0: don't save, 1: save only peaks, 2: save full plane)
bool m_ignore2nd
switch to skip second priority hits
void patternClustering(const cdcMap &inputMap)
Combine Hough candidates to tracks by a fixed pattern algorithm.
unsigned m_connect
number of neighbors to check for connection (4: direct, 6: direct + upper right and lower left corner...
double shiftR
Hough plane shift in 1/r [1/cm].
unsigned nCells
number of cells for the fast peak finder: 2^(maxIterations + 1).
bool m_suppressClone
switch to send only the first found track and suppress the subsequent clones
StoreArray< CDCTriggerTrack > m_tracks
list of found tracks
cdcMap hitMap
map of TS hits containing <iHit, <iSL, (x, y)>> with iHit: hit index in StoreArray iSL: super layer i...
unsigned m_clusterSizeX
maximum cluster size for pattern algorithm
double m_minPt
Hough plane limit in Pt [GeV].
bool m_requireSL0
switch to check separately for a hit in the innermost super layer
unsigned m_minHits
minimum number of hits from different super layers in a Hough cell to form a candidate
StoreArray< CDCTriggerHoughCluster > m_clusters
list of clusters in the Hough map
unsigned TSoffset[10]
Number of track segments up to super layer.
std::string m_clusterCollectionName
Name of the StoreArray containing the clusters formed in the Hough plane.
std::string m_hitCollectionName
Name of the StoreArray containing the input track segment hits.
double radius[9][5]
Radius of the CDC layers with priority wires (2 per super layer).
bool m_onlyLocalMax
switch to ignore candidates connected to cells with higher super layer count
unsigned m_clusterSizeY
maximum cluster size for pattern algorithm
void connectedRegions()
Combine Hough candidates to tracks by merging connected cells.
Combination of several CDCHits to a track segment hit for the trigger.
The Class for CDC Geometry Parameters.
static CDCGeometryPar & Instance(const CDCGeometry *=nullptr)
Static method to get a reference to the CDCGeometryPar instance.
static const double speedOfLight
[cm/ns]
Definition: Const.h:695
Base class for Modules.
Definition: Module.h:72
void setDescription(const std::string &description)
Sets the description of the module.
Definition: Module.cc:214
void setPropertyFlags(unsigned int propertyFlags)
Sets the flags for the module properties.
Definition: Module.cc:208
void setReturnValue(int value)
Sets the return value for this module as integer.
Definition: Module.cc:220
@ c_ParallelProcessingCertified
This module can be run in parallel processing mode safely (All I/O must be done through the data stor...
Definition: Module.h:80
Class for type safe access to objects that are referred to in relations.
bool isRequired(const std::string &name="")
Ensure this array/object has been registered previously.
bool registerInDataStore(DataStore::EStoreFlags storeFlags=DataStore::c_WriteOut)
Register the object/array in the DataStore.
bool create(bool replace=false)
Create a default object in the data store.
int getEntries() const
Get the number of objects in the array.
Definition: StoreArray.h:216
bool registerRelationTo(const StoreArray< TO > &toArray, DataStore::EDurability durability=DataStore::c_Event, DataStore::EStoreFlags storeFlags=DataStore::c_WriteOut, const std::string &namedRelation="") const
Register a relation to the given StoreArray.
Definition: StoreArray.h:140
void addParam(const std::string &name, T &paramVariable, const std::string &description, const T &defaultValue)
Adds a new parameter to the module.
Definition: Module.h:560
#define REG_MODULE(moduleName)
Register the given module (without 'Module' suffix) with the framework.
Definition: Module.h:650
std::map< int, cdcPair > cdcMap
Map of <counter, cdcPair>, for hits with indices.
Abstract base class for different kinds of events.
STL namespace.