Belle II Software development
TRGTOPTimingISimSlot.cc
1/**************************************************************************
2 * basf2 (Belle II Analysis Software Framework) *
3 * Author: The Belle II Collaboration *
4 * *
5 * See git log for contributors and copyright holders. *
6 * This file is licensed under LGPL-3.0, see LICENSE.md. *
7 **************************************************************************/
8
9#include "trg/top/dataobjects/TRGTOPTimingISimSlot.h"
10
11#include "trg/top/dataobjects/TRGTOPTimingISim.h"
12#include <top/dataobjects/TOPDigit.h>
13//#include <framework/datastore/RelationVector.h>
14
15//using namespace std;
16using namespace Belle2;
17
18void TRGTOPTimingISimSlot::setSlotId(int slotId) { m_slotId = slotId; }
19void TRGTOPTimingISimSlot::setNumberOfTimeStamps(int numberOfTimeStamps) { m_numberOfTimeStamps = numberOfTimeStamps; }
20//void TRGTOPTimingISimSlot::set(int ) { m_ = ; }
21
22//void TRGTOPTimingISimSlot::addTimingISim(TRGTOPTimingISim timingISim) { m_timingISims.push_back(timingISim); }
23
24// no longer needed (in ROOT v6)
25//ClassImp(TRGTOPTimingISimSlot)
26
27
28
int m_slotId
slot Id (1 through 16)
int m_numberOfTimeStamps
number of timestamps
Abstract base class for different kinds of events.