Belle II Software development
TRGCDCJSignalData Class Reference

A class to hold common data for JSignals. More...

#include <JSignalData.h>

Public Member Functions

 TRGCDCJSignalData ()
 Constructor for class.
 
void setVhdlOutputFile (const std::string &)
 Sets the filename for VHDL output.
 
void setPrintVhdl (bool)
 Sets if to print VHDL output.
 
void setPrintedToFile (bool)
 Set to remember that file was printed.
 
void setVhdlInProcess (const std::string &)
 Set the VHDL code that are in a process statement.
 
void setVhdlOutProcess (const std::string &)
 Set the VHDL code that is outside a process statement.
 
std::string getVhdlOutputFile () const
 Get the VHDL output code.
 
bool getPrintVhdl () const
 Gets the status of m_printVhdl.
 
bool getPrintedToFile () const
 Gets the status of m_printedToFile.
 
std::map< std::string, std::vector< int > > const & getSignals () const
 Gets the signals that were saved for one line of VHDL.
 
std::string getVhdlInProcess () const
 Gets the VHDL code that are in a process statement.
 
std::string getVhdlOutProcess () const
 Gets the VHDL code that are outside a process statement.
 
std::string getVhdlDefine () const
 Gets the VHDL code for define statement.
 
void printToFile ()
 Utilities Function to print VHDL code.
 
void buffersVhdlCode ()
 Function to print buffer VHDL code.
 
void signalsVhdlCode ()
 Function to print definition of signal VHDL code.
 
void entryVhdlCode ()
 Function to print entry VHDL code.
 

Private Attributes

std::string m_vhdlOutputFile
 Memebers.
 
std::string m_vhdlEntry
 Holds VHDL entry code.
 
std::string m_vhdlDefine
 Holds VHDL define code.
 
std::string m_vhdlInProcess
 Holds VHDL process code.
 
std::string m_vhdlOutProcess
 Holds VHDL out of process code.
 
bool m_printVhdl
 Status if code should be printed.
 
bool m_printedToFile
 Statis if VHDL is printed to file.
 
std::map< std::string, std::vector< int > > m_buffers
 vector<int> is {type, bitwidth, buffer} Holds all the requried VHDL buffers.
 
std::map< std::string, std::vector< int > > m_signals
 Holds all the requried VHDL signals.
 
std::map< std::string, bool > m_arrayType
 Holds all the required VHDL types.
 

Friends

class TRGCDCJSignal
 
class TRGCDCJLUT
 

Detailed Description

A class to hold common data for JSignals.

Definition at line 33 of file JSignalData.h.

Friends And Related Function Documentation

◆ TRGCDCJLUT

friend class TRGCDCJLUT
friend

Definition at line 35 of file JSignalData.h.

◆ TRGCDCJSignal

friend class TRGCDCJSignal
friend

Definition at line 34 of file JSignalData.h.

Member Data Documentation

◆ m_arrayType

std::map<std::string, bool > m_arrayType
private

Holds all the required VHDL types.

Definition at line 98 of file JSignalData.h.

◆ m_buffers

std::map<std::string, std::vector<int> > m_buffers
private

vector<int> is {type, bitwidth, buffer} Holds all the requried VHDL buffers.

Definition at line 94 of file JSignalData.h.

◆ m_printedToFile

bool m_printedToFile
private

Statis if VHDL is printed to file.

Definition at line 91 of file JSignalData.h.

◆ m_printVhdl

bool m_printVhdl
private

Status if code should be printed.

Definition at line 89 of file JSignalData.h.

◆ m_signals

std::map<std::string, std::vector<int> > m_signals
private

Holds all the requried VHDL signals.

Definition at line 96 of file JSignalData.h.

◆ m_vhdlDefine

std::string m_vhdlDefine
private

Holds VHDL define code.

Definition at line 83 of file JSignalData.h.

◆ m_vhdlEntry

std::string m_vhdlEntry
private

Holds VHDL entry code.

Definition at line 81 of file JSignalData.h.

◆ m_vhdlInProcess

std::string m_vhdlInProcess
private

Holds VHDL process code.

Definition at line 85 of file JSignalData.h.

◆ m_vhdlOutProcess

std::string m_vhdlOutProcess
private

Holds VHDL out of process code.

Definition at line 87 of file JSignalData.h.

◆ m_vhdlOutputFile

std::string m_vhdlOutputFile
private

Memebers.

Holds the VHDL output filename.

Definition at line 79 of file JSignalData.h.


The documentation for this class was generated from the following files: